代工晶圆,战争蔓延

06-24 19:17

本文来自微信公众号“半导体行业观察”(ID:icbank),作者:L晨光,36氪经授权发布。


长期以来,在摩尔定律的推动下,晶圆铸造厂一直在紧跟芯片工艺,一路前进。到目前为止,只有台积电、三星和英特尔在先进的工艺节点进行了肉搏战。


近几年来,在人工智能、移动和高性能计算应用的推动下,半导体市场逐步复苏,市场对先进工艺生产能力的需求十分旺盛。根据资料预测,在全球芯片制造能力方面,10nm以下工艺的比例将从2021年的16%上升到2024年的近30%。


另外,看先进制程的几大巨头之间的竞争也非常激烈,都是为了通过展示整体实力来获得更多的市场份额。


台积电、三星和英特尔在这场晶圆代工行业的反击和保卫战中不断创新,争夺工艺技术的领先水平。台积电会继续“封神”吗?三星和英特尔多面进攻,又赢了两杯?


台积电披露工艺路线及前景展望

台积电作为半导体行业的领导者,在过去的30年里取得了巨大的成就,成为世界上最大的芯片代工公司。


随着半导体技术的快速发展,台积电一直是世界先进制程技术的领导者。


近日,台积电宣布更新一系列雄心勃勃的工艺路线图,这意味着半导体制造将进入前所未有的时代。——Ångström级工艺节点即将到来。


根据其工艺路线图,台积电将于2025年至2026年推出的几项关键工艺技术,包括N3X。、N2、N2P,以及革命性的A16技术,揭示了它们如何促进技术界限,以及这些进步对电子设备性能、能源消耗和未来技术发展的影响。


台积电工艺路线图


N3P:N3P作为N3技术的增强版,进一步优化了N3P的性能、功耗和密度,为客户提供了更多的选择。


N3X:通过将电压降至0.9,面向极致性能的3纳米工艺,V,可以在相同频率下降低7%的功耗,同时在相同面积下提高5%的性能或增加10%左右的晶体管密度。


N2:台积电首次使用全栅(GAA)纳米晶体管技术的节点,与N3E相比,GAA晶体管可以使功耗降低25%-30%,性能提高10%-15%,晶体管密度提高15%,从而显著提高PPA特性,与N3E相比,N2可以降低25%-30%。


N2P:N2P的性能增强版可以进一步优化功耗和性能,N2P预计在相同的晶体管数量和频率下,可以降低5%-10%的功耗,同时提高5%-10%的性能。适用于对这些方面要求较高的应用。


A16:A16台积电工艺首次引入背面供电网络技术(BSPDN),这项创新直接将电源供应集成到晶体管的背面,大大提高了电力传输效率和晶体管密度;结合GAAFET纳米晶体管,目标是显著提高性能和能效。A16将成为第一个“埃级”工艺节点,这意味着半导体制造已经进入一个新时代。



与N2P相比,A16在相同的电压和复杂性下,预计性能将提高8%-10%,功耗将降低15%-20%,芯片密度将提高1.1倍。该技术的引入将为高性能计算产品创造新的概率,尤其是对能源效率和信号路径要求极高的应用。


总的来说,台积电的一系列技术创新不仅展现了其在半导体制造领域的不断领先地位,也为未来电子产品的性能升级、能效提升和更广泛的技术创新奠定了坚实的基础。特别是随着“埃”级工艺节点的到来,半导体行业正进入一个充满挑战和机遇的新时代。


另外,据了解,台积电的A16工艺并不依赖于最新的High。-NA EUV技术,使成本更具竞争力,同时也满足了当前AI芯片公司对设计优化的迫切需求。


另外值得注意的是,台积电整个N2系列将增加一个全新的NanoFlex功能,它允许ic设计者在同一个设计中混合和匹配来自不同库的模块,从而优化芯片的性能、功率和面积 (PPA)。


由于N2依赖于GAAFET晶体管,NanoFlex可以为台积电提供一些额外的控制:例如,台积电可以优化通道宽度以提高性能和功率,然后构建短模块(以提高面积和功率效率)或高模块(以提高15%的性能),因此NanoFlex可以为台积电提供一些额外的控制:例如,台积电可以优化通道宽度以提高性能和功率。


时间方面,台积电N2技术将于2025年进入风险生产,并于2025年下半年进入批量生产;2026年将推出性能强化N2P和电压强化N2X;预计2026年下半年将推出A16先进工艺。


台积电市场前景:AI需求强劲,车辆配送和工控疲软。

从市场调研机构TrendForce集邦咨询近日发布的2024年第一季度全球十大晶圆代工企业营收排名来看,虽然AI对HPC的需求相当强劲,但TSMC第一季度仍然受到智能手机、NB等消费备货淡季的影响,营收季节下降约4.1%,收敛至188.5亿美元。由于其他竞争行业也面临消费淡季的挑战,市场份额保持在61.7%。第二季,随着主要客户Apple进入备货周期,AI服务器对HPC芯片的需求持续稳定,有机会推动营收呈现个位季增长率趋势。


此外,据统计,2024年前五个月,台积电的收入同比增长27%,远远超过行业平均水平,这表明台积电的市场需求依然强劲。


目前,台积电在全球代工市场占有61%的市场份额,远远超过三星排名第二的11%。这种市场主导地位促使台积电在客户中更具吸引力。许多顶级芯片制造商,如Nvidia、AMD、苹果和高通等,都是其长期合作伙伴。


随着人工智能技术的快速发展,人工智能芯片的需求呈爆炸式增长。台积电欧亚高级副总裁副总裁侯永清指出,今年,AI需求十分强劲,手机和PC业务也开始缓慢复苏,但汽车配件和工控需求仍然略显疲软。


从数据来看,今年AI加速器比去年增长了2.5倍左右;今年PC市场将增长1-3%;经过两年的衰退,手机行业今年将增长1-3%;汽车零部件芯片市场今年需求疲软,业绩估计下降1-3%;IoT估计增长7-9%,但是与往年相比,增长20%是下降的。


花旗指出,大多数AI 目前,GPU采用4/5/7纳米工艺,AI 随着PC和智能手机需求的增加,叠加芯片制造技术的逐步成熟,预计大部分将在2025年底前转移到3纳米工艺。作为先进半导体技术的领导者,台积电有望在2025年获得更多的3纳米芯片订单,尤其是来自苹果、高通和联发科的客户。届时,台积电3纳米工艺的利用率将保持过度紧张。


此前台积电还表示,预计2024年的资本支出在280亿至320亿美元之间,预计2025年将增加到350亿至400亿美元,这些高预算主要用于R&D和生产2/3纳米工艺。


通过不断的技术创新和战略投资,台积电建立了坚实的市场基础。


全球产能扩大,台湾省先进技术留在台湾省

台积电在中国台湾省生产了世界上大多数最先进的Cpu。然而,近年来,它正在改变战略。在将制造业务留在台湾省的同时,它还在美国建立了晶圆工厂,生产先进芯片,在日本生产相当先进的处理器,并在欧洲生产专用芯片。


据悉,台积电自2022年至2023年新建5家工厂,今年在建7家工厂,其中3家是晶圆厂,2家是封装厂,2家是海外晶圆厂,今年台积电先进工艺占67%


就代工而言,台湾新建的新竹Fabab 高雄Fab和20 22均为2nm晶圆厂,目前已开始安装,预计2025年量产。


从全球来看,台积电计划在美国亚利桑那州投资650亿美元建设三个尖端工艺晶圆厂。其中,第一个晶圆厂已经开始安装,预计明年将量产4nm。;第二座晶圆厂于2022年底开工,预计2028年将量产3nm。;三是晶圆厂仍在规划中,预计2030年前将进入量产。


台积电计划在日本熊本建设两个晶圆厂,熊本第一个晶圆厂于2022年4月开工,预计今年第四季度量产22/28nm和12/16nm工艺;熊本二厂预计2027年量产6/7nm工艺。16nm晶圆厂将在德国台积电建设,预计今年第四季度开工,2027年量产。


台积电围绕先进封装,也在加速CoWoS和SoIC产能的扩大。据计划,到2026年,CoWoS生产线的复合增长率将超过60%,到2023年底,产能将是2023年的4倍。与2023年相比,SoIC产能将增长8倍,复合增长率将达到100%。


采取多种措施,台积电拉开了其大胆路线图的序幕,在先进技术、先进封装、国际布局等方面勾勒出宏伟的蓝图。但它也表示,最关键的发展应该留在中国台湾省。


今年5月,中国台湾省新任科技部部长吴正文表示,他认为台湾省积电可以保护其独特的先进技术,继续在向国际扩张的同时在中国台湾省建设其尖端晶圆厂。虽然台湾省积电在全球范围内发展,但其最先进的技术发展仍将得到中国台湾省的保障。


台积电:全球工厂复制计划

近日,台积电也证实,其海外晶圆厂首次复制了中国台湾省采用的技术配方。


目前,业界已经深刻认识到,大型跨国晶圆工厂需要一套复制设施的流程。随着Gigafab(非常晶圆工厂)规模的扩大,芯片制造商需要能够快速将新的更新的制造工艺应用到其他设施中,以保持必要的产量,避免因需要重新调整晶圆工厂而产生的季度瓶颈。


英特尔以其实施的“精确复制”计划而闻名。该计划允许其全球晶片厂共享工艺配方,以增加产量和降低性能波动,成为英特尔的主要竞争优势之一。


同样,随着台积电在全球范围内不断增加新产能,为了尽快提高其在日本和美国新建晶圆厂的产量和质量,也开始实施类似的计划。


据悉,台积电为了保证全球范围内的非常晶圆厂能够达到一致的运营效率和生产质量,实施了统一的晶圆厂管理。同时,我们仍然致力于全球业务的可持续发展,包括绿色制造、全球人才培养、供应链本土化和社会责任。


提及工艺技术的改进,主要有两个主要机制:用来提高效益的持续工艺改进(CPI),以及减少性能变化的统计过程控制(SPC)。所以,通过全球Gigafab制造,台积电可以利用CPI和SPC在全球范围内共享知识,从而提高CPI和SPC的产量和性能。


台积电可能会开始新一轮涨价。

近日,多家芯片制造商和晶圆代工厂纷纷宣布调价。


头部顾客(英伟达),AMD、英特尔、高通、联发科、苹果和谷歌相继导入3 纳米工艺,台积电订单已经到了2026年。所以,台积电可能会开始新一轮的涨价谈判。


在6月4日的股东大会上,刚刚完全掌舵台积电的新董事长魏哲家表示,目前所有的AI半导体都是台积电生产的。暗示有涨价的想法,3nm代工价格可能会上涨5%以上。


需要注意的是,晶圆代工厂的“内卷”已经出现了收敛的信号。虽然晶圆厂的涨价还没有成为既定事实,但各大晶圆厂的产能利用率已经明显提高,很多厂家已经出现了满产甚至利用率超过100%的情况。


从行业的角度来看,晶圆代工阶段稼动率的持续提高,以及部分代工厂的满产,未来将带来价格上涨的弹性。


另外,AI热潮极大地促进了CoWoS的需求,台积电先进封装产能的短缺也将持续到2025年。据报道,台积电先进封装每年的价格将上涨10%-20%。


三星代工在重重困境下如何突破?

台积电就像一个稳健的领导者,而三星则仿佛陷入了许多困境,这是先进制程芯片的激烈竞争。


尤其在寻求突破3nm工艺的过程中,三星的电子良率和能效问题尤为明显。但是,随着全球无晶圆厂半导体公司和科技巨头纷纷将目光投向3nm工艺,未来作为主流技术的趋势已经明朗。台积电以其卓越的技术实力,在这一背景下,吸引了众多企业的青睐,英伟达,AMD、业内巨头如英特尔、高通、联发科、苹果、谷歌等已经决定将订单交付给世界上最大的代工芯片制造商。这个决定无疑进一步扩大了台积电和三星电子在市场份额上的差距。


虽然三星曾经在3nm工艺领域占据领先地位,但现实相当残酷。据报道,三星电子代工部门的原型在关键指标上明显落后于台积电,使其在本次比赛中失去了早期的优势地位。谷歌、高通等公司的选择对三星也是一个沉重的打击。经过深思熟虑,他们最终决定将订单交给台积电,这无疑让三星的处境雪上加霜。


根据TrendForce的数据,今年一季度,三星电子的代工市场份额有所下降,而台积电的市场份额有所上升。这种趋势意味着台积电在半导体代工领域的地位在未来会更加稳定,而三星则需要在技术和市场战略上做出更多的努力来追求领先者。


三星公布了未来的工艺路线图

近日,三星在2024年三星代工论坛上发布了其芯片制造技术的最新路线图,主要涉及2纳米/1.4纳米技术,并将在未来三年内为客户提供具有背面供电技术的路线图。


在这些节点中,SF2节点(以前称为SF3P)预计将于2025年推出,主要针对高性能计算和智能手机应用。三星的2nm工艺性能比3nm工艺(SF3)提高12%,功率效率提高25%,面积下降5%。


三星计划于2026年推出SF2P,这是SF2性能增强版,其特点是速度更快但密度更低;三星将于2027年发布。SF2Z,该产品将采用背面供电技术(BSPDN),这样可以提高晶体管的性能和密度。另外,这种改进还旨在提高电源质量和管理压降。(IR Drop),为了应对先进芯片生产中的关键挑战。


计划的三星SF1.4节点(1.4纳米)意味着预计2027年三星将进入1.4 纳米级跑道。不像SF2Z,SF1.4将不包括背面电源传输,这使得三星不同于英特尔和台积电,后者将在其2nm级和1.6nm级节点引入背面电源技术。


除推出高档节点外,三星还推出了4纳米节点的高性价比组合SF4U节点,通过光学收缩提高了功率、性能和面积,预计将于2025年量产。


在2nm及以下节点上,三星最新的工艺技术路线图显示了它的不断创新与发展。三星致力于在半导体制造领域保持领先地位,随着BSPDN技术的引入和1.4nm节点的推出。


在过去的一年里,三星代工的AI需求增长了80%。预计到2028年,其AI芯片代工客户数量将比2023年增长4倍,代工销量将比2023年增长9倍。


三星电子正在开发一种集成包装晶圆代工非内存半导体和HBM的AI解决方案,旨在制造高性能、节能的AI芯片。与现有技术相比,这项新技术有望缩短研发到生产的时间约20%。


“在许多技术围绕人工智能不断发展的时代,实现人工智能的关键在于高性能、低功耗的半导体,”三星电子总裁兼代工业务负责人崔时永博士说。除了完善AI芯片优化的GAA技术外,我们还计划推出集成共封装光学 (CPO) 该技术为客户提供一站式人工智能解决方案,实现高速、低功耗的数据处理。


此外,在论坛上,三星还分享了2027年选择硅光子的计划,这是他第一次宣布这样的计划。与I/O相比,预计使用光纤在芯片上传输数据的数据传输速度将大大提高。


三星晶圆厂又失去了顾客,

如上所述,客户纷纷决定将订单交给台积电,这无疑使三星的处境雪上加霜。


近日,有消息称,曾经使用三星代工厂的设计公司Gaonchips作为其生产合作伙伴的DeepX最近与台积电的设计公司合作伙伴Asicland签署了一项协议,计划使用台积电的先进节点来制作神经控制部件(NPU)的SoC。


另外,尽管有传言说三星已经为AMD提供了很长时间的3nm工艺服务,但是AMD CEO Lisa 在2024年台北国际电脑展会上,Su强调,该公司仍在与台积电合作。


很容易看出,争夺竞争者的先进制程订单是多么困难。


除上述3nm客户丢失外,三星的4nm工艺也在这里付出了代价。


本来高通连续两代芯片交给三星代工,但据说三星的4nm技术只有35%的良率,这使得产能一直无法提高,导致高通不得不将订单转让给台积电,让后者代工4nm骁龙8。 Gen 1 Plus芯片。跟进骁龙8 、骁龙8 Gen 2到骁龙7 芯片,高通已转向台积电。


RTX,英伟达 40显卡也放弃了三星,改用了台积电的5nm工艺,而且这些厂商将来还会继续与台积电合作。


可以看出,三星为自己芯片技术的良率付出了惨痛的教训。除了跟上节奏,三星晶圆代工部门还要尽最大努力提高良率,否则因为良率低而无人问津的故事会重演。


英特尔代工,针对尖端节点

新一轮AI浪潮导致的计算能力需求迅速膨胀,不仅将GPU之王英伟达捧上了神坛,也让CPU霸主英特尔看起来有点孤独。


根据2024年第一季度的财务报告,英特尔的收入保持增长,但利润并没有大幅提升。然而,面对竞争,英特尔仍然努力工作。从大举下注AI芯片和芯片代工的动作来看,英特尔正在新的AI时代找到失落的王座。


就代工业务而言,英特尔也在积极推动其前沿战略目标节点的发展。


最近,英特尔宣布,intel已经开始为客户批量生产 3工艺,Intel 英特尔IDM3代表英特尔 2.0战略中的第三个工艺节点,它旨在在四年内开发五个工艺节点,并将成为第一个针对代工厂制造的先进节点。



Intel 3过程节点带来的一些主要优点包括更密集的设计库、更多的晶体管驱动电流和更多的EUV应用。这个节点还有三个组合,包括三个组合-T、3-E 和 3-PT。前两种组合与Intel组合 与4相比,每瓦性能提高了18%,而PT则带来了额外的性能,使用方便。所有四个节点组合均支持240nm高性能和210nm高密度库。其中,“T”代表硅通孔 (TSV),它是一种垂直方向的电气连接,可以实现芯片元件之间或堆叠芯片之间的高速连接。


对英特尔来说,Intel 3将在未来至少十年内长期支持代工客户,从而为汽车、物联网等需要更长生命周期的应用打开大门。Intel 三是生产上坡不仅仅是一项生产成果,它代表了英特尔代工的重要里程碑和确认点。


值得注意的是,Intel 三个节点是英特尔路线图上最后一代FinFET节点,由于从下一代开始,英特尔就开始推出其GAA晶体管RibbonFET。


五月份的财务报告电话会议,Intel 帕特·基辛格CEO(Pat Gelsinger)指出,公司第一代GAA intel,RibbonFET技术, 预计今年将推出20A;后续产品是intel 预计2025年上半年将投入生产18A,并将继续推进到2027年的Intel。 10A节点。


RibbonFET和PowerVia技术是英特尔的两大利器:RibbonFET是英特尔对GAA晶体管的实现,它将成为英特尔自2011年首次推出FinFET以来的第一个全新晶体管结构;PowerVia是英特尔独有的,是行业内第一个背面电能传输网络,通过清除晶圆正面供电线路的需要,对数据传输进行优化。


在英特尔的计划中,ASML最新的High也将率先被采用。-NA EUV光刻机,这与竞争者不同。英特尔说,新工具可以大大提高下一代Cpu的分辨率和功能扩展能力,使英特尔代工在英特尔18A之后能够继续保持技术领先水平。


同时,英特尔也在不断加大力度,Intel于今年2月公布。 High用于14A工艺。-NA EUV技术,预计2026年最快量产。而且最新的14A-E版本在14A的基础上进一步提高了能耗效率。



英特尔强调了ASML TWINSCAN EXE:5000 High-NA EUV光刻设备组合的重要性,这将为英特尔的工艺技术带来新的突破,进一步推动工艺蓝图的实现。


英特尔:努力成为世界第二大代工厂

英特尔的“全新工艺技术路线图”证实,其“四年五个工艺节点”路线图仍在有序推进,并将率先在行业内提供背面供电解决方案。英特尔预计将于2025年通过Intel 18A工艺节点重新获得工艺领先地位。


可以看出,英特尔正在规划一条新的道路,试图让自己成为晶圆代工市场的重要参与者,与台积电、三星等晶圆代工厂竞争,希望重新获得世界领先芯片制造商的地位。


英特尔的目标是在2030年成为世界第二大半导体制造工厂。为了实现这一目标,英特尔正在加强执行力,推动面向AI时代的系统代工,创造前沿和多样化的制造能力。


此外,英特尔还重点介绍了其在成熟工艺节点方面的进展,目前已于今年1月宣布与UMC联合开发的全新12纳米节点。英特尔代工计划每两年推出一个新节点,通过英特尔领先的工艺技术,一路推出节点演变版,帮助客户不断完善产品。


英特尔代工也宣布将FCBGA 2D 英特尔代工先进系统包装及检测(Intel Foundry ASAT)在技术组合中,这种组合将包括FCBGA 2D、FCBGA 2D 、EMIB、Foveros和Foveros Direct等技术。


英特尔代工策略&换帅策略

自打Pat 自2021年Gelsinger重返英特尔CEO岗位以来,公司一直积极调整战略,致力于摆脱传统产品和制造技术难以按计划实现的困境。在盖尔辛格的领导下,英特尔使用了“IDM2”.以0为核心战略,不断推进新产品和制造技术的研究与开发。


英特尔推出了IFSS,这是IDM2.0战略的重要组成部分。(Intel Foundry Service)与传统的制造模式不同,IFS向除Intel产品部门外的其他公司开放了英特尔的生产设施,承接他们设计的半导体产品的生产。这种创新模式不仅扩大了英特尔的业务范围,而且给英特尔带来了更多的合作机会和市场潜力。


半导体行业被称为“规模效应”的代表,其业务规模对制造效率有重要影响。目前,TSMC等制造商致力于委托制造高性能半导体,已超过Intel制造部门,仅针对Intel。然而,英特尔正在积极寻求规模和质量的平衡,通过IFS业务的推出,实现更大的市场份额和竞争优势。


通过明确的目标、积极的路线图、创新的英特尔IDM2.IFS业务战略和战略在半导体行业中,其竞争力和领导力正在逐步显现。


一个多月前,英特尔宣布聘请了高级副总裁兼总经理凯文·奥巴克利担任其代工芯片制造业务,这意味着英特尔在代工领域的新一轮战略布局正式启动。奥巴克利在IBM、格芯、Avera 曾担任高级职位的Semiconductor和Marvell等知名企业


奥巴克利的到来无疑为英特尔的代工业务注入了新的活力。他将在5月底接任即将退休的斯图·潘恩,成为执行领导团队的一员,并向首席执行官帕特·基辛格报告。这一变化不仅体现了英特尔对代工业务的重视,也体现了他对半导体市场未来发展趋势的深刻洞察。


隐藏巨额亏损,英特尔面临集体诉讼

根据国外媒体最近的披露,英特尔正面临一项集体诉讼,投资者指控其在“英特尔代工服务”部门遭受约70亿美元的巨额损失。


报告称,当投资者指控英特尔在今年1月报告2023年业绩时,没有正确披露制造部门的损失。投诉指控,英特尔夸大了其代工服务部门的发展和收益,该部门在2023年实际遭受了巨额损失,产品利润也下降,导致公司及其代工策略的积极声明误导,涉嫌虚假声明或隐瞒。


不仅如此,英特尔还将约30%的产能外包给台积电等晶圆代工厂商,这一行为也进一步激怒了投资者。


投诉具体列举了英特尔涉嫌的虚假陈述或隐瞒行为,包括:


发展英特尔代工服务并不意味着内部部门可以报告的收入增长;


2023年英特尔代工部门在2023 每年都有重大经营亏损;


由于内部收入下降,该部门产品利润下降;


所以,代工模式不会成为公司集成封装试验(IFS)有力的战略推手;


由于上述原因,被告对公司业务、经营和前景的积极表态在本质上是误导性的或缺乏合理的依据。


这个诉讼由Levi提起 & Korsinsky律师事务所发起,呼吁英特尔投资者加入对该公司的集体诉讼。


除了先进的工艺外,


三巨头“火拼”先进封装

从三大代工巨头的路线图来看,先进晶圆代工市场竞争激烈,台积电和三星在先进工艺上竞争,英特尔四年五大节点工艺开发按计划进行。


三大晶圆代工巨头频繁行动,正在进行新一轮的竞争。


参考资料:全球半导体观察


谁将成为下一个晶圆产业的“王者”可能仍然是一个悬而未决的问题,但可以肯定的是,持续的创新和技术突破将成为决定性因素。


另一方面,在摩尔定律逐渐放缓的趋势下,仅从微缩晶体管的角度来看,提高密度以提高芯片特性是无效的。因此,先进的封装已经成为后摩尔时代填充芯片性能和成本的重要解决方案之一。


它也成为晶圆代工巨头们的新战场。


在这些领域中,台积电是世界先进封装技术的领头羊,拥有3D Fabric拥有CoWoS、InFO、各种先进的封装工艺,如SoIC。


CoWoS是台积电最经典、最先进的封装技术之一。自2011年以来,台积电的CoWoS技术已迭代至第五代,期间中介层面积、晶体管数量、内存空间不断扩大。英伟达,AMD、在台积电CoWoS工艺中,博通、Marvell等都是大客户。



CoWoS台积电结构示意图


自AIGC走红以来,CoWoS也成为行业焦点,行业重量级客户不断为台积电增加CoWoS订单。为了满足大客户的需求,台积电加快了CoWoS先进封装产能的扩大步伐。


此外,台积电还开发了CoWoS技术的廉价版本,即InFO技术,降低了产品成本和包装高度。这也是InFO技术在移动应用和HPC市场成功的重要原因,为台积电能够垄断苹果A系列Cpu奠定了关键基础。


2018年,除CoWoS和InFO外,台积电首次向外部公布了创新的系统整合单芯片。(SoIC)SoIC是一种多芯片3D堆叠技术,这表明台积电已经拥有3D直接为客户生产。 IC能力。高密度3D是业界第一个高密度3D SoIC被认为是“3D封装前沿”技术,chiplet堆叠技术。


SoIC可能成为行业未来发展的主要封装技术趋势,因为它具有沉孔密度更高、传输速度更快、功耗更低的优点。目前,台积电也在积极上升SoIC的产能计划,计划到2024年底,月产能跃升至5000-6000个,以满足未来AI和HPC的强劲需求。


可以看出,台积电凭借其先进的封装技术获得了巨大的收益。


自然,英特尔,三星两个劲敌在这个领域也丝毫不敢懈怠。


经过多年的技术探索,英特尔先后推出了EMIB、Foveros和Co-多种先进的封装技术,如EMIB,在互连密度、功率效率和可扩展性三个方面不断完善。


根据英特尔发布的先进封装技术蓝图,其计划将传统基板转换为更先进的玻璃基板,以实现新的超越;同时,英特尔还将通过玻璃基板设计和光学传输的方式,在布局硅光模块中增加CPO(共封装光学)技术的信号交换频率,从而优化计算成本。


结合英特尔在先进工艺上的一系列动态,外部预计英特尔将结合先进工艺和先进封装,希望在晶圆代工领域达到“1加1大于2”的效果。


三星在2.5D/3D先进封装技术领域也在积极布局,II已推出。-Cube、X-先进的包装技术,如Cube。三星推出的I-Cube技术,对于2.5D封装,可与台积电的CoWoS技术相媲美。对于3D封装,三星在2020年推出了X-Cube技术,通过TSV将硅晶圆或芯片物理堆叠起来,最大限度地缩短了互联长度,同时降低了功耗,提高了传输速度。


此外,三星计划在2024年量产X-Cube封装技术,可以处理比普通沉孔更多的数据,预计2026年将推出比X-Cube处理更多数据的无沉孔封装技术。它具有从存储器和处理器芯片设计和制造到先进封装业务组合的优点。


总体而言,先进芯片是工艺的血战,也是先进封装的对抗。先进的封装与工艺可谓密切相关,作为性能持续提升的重要保证,在提高芯片集成度、加强互联、优化性能等方面发挥了重要作用。争夺工艺节点时间,高级封装已经成为三大巨头“不可忽视”的变数。


更先进包装的详细技术细节和厂家布局,笔者在之前的文章《台积电“攻防战”》中、先进封装大战,升级!有详细的介绍,更具体的内容可以跳转到上面了解。


写在最后

根据Counterpoint的数据,2024年第一季度,半导体行业已经显示出需求复苏的迹象。经过几个季度的连续去库存,该行业的渠道库存已经趋于正常化。2024年,晶圆代工行业将成为AI强劲需求和终端设备需求复苏的主要增长动力。


随着全球经济的逐步复苏和半导体需求的不断增加,这些确实有助于晶圆工厂抓住市场机遇,提高产能利用率,增强与客户的合作关系。


然而,半导体产业是一个高度竞争和不断变化的产业,晶圆工厂需要不断创新和优化战略,以适应市场的长期变化。此外,我们还需要关注全球产业链的稳定性、技术进步以及政策和地缘政治因素的影响。


回到行业格局,尽管台积电的产能占比超过60%,但仍难以完全保持巨大的先进工艺市场。即使三星和英特尔的芯片会陷入性能或良率“滑铁卢”的风险,一些制造商仍然愿意在产能和价格因素的驱动下“品尝螃蟹”。


未来,台积电、三星和英特尔将长期围绕先进技术和先进封装技术展开竞争,代工三巨头的拔河战将成为推动摩尔韧性前进的动力,推动下一个“弯道”时刻的到来。


本文仅代表作者观点,版权归原创者所有,如需转载请在文中注明来源及作者名字。

免责声明:本文系转载编辑文章,仅作分享之用。如分享内容、图片侵犯到您的版权或非授权发布,请及时与我们联系进行审核处理或删除,您可以发送材料至邮箱:service@tojoy.com